WASEDA UNIVERSITY
              
 
 
 

2024
2023
2022
2021
2020
2019
2018
2017
2016
2015
2014
2013
2012
2011
2010
2009
2008
2007
2006
2005
2004
2003
2002
2001
2000
1999
1998
1997

   

2013年

国際学会

New Diamond and Nanocarbon conference (NDNC2013), Singapore, May 2013 ... [1件]

1) Y. Shintani, M. Myodo , S. Ibori , H. Kawarada, “Study of differential FET sensing utilizing termination-controlled diamond surfaces as pH-sensitive/pH-insensitive interfaces”

NT13: The Fourteenth International Conference on the Science and Application of Nanotubes, Espoo, Finland, Jun. 2013 ... [1件]

2) M. Inaba, M. Shibuya, Y. Masuda, A. Hiraiwa, M. Kusunoki, H. Kawarada,"Estimation of conduction at CNT/SiC interface of vertically aligned and high density CNT on SiC"

1st French-Japanese Workshop on Diamond power devices, Chamonix, France, 19-21 June 2013 ... [2件]

3) A. Hiraiwa, "High-reliability ALD-Al2O3 gate insulator for diamond 2DHG-FETs"

4) H. Kawarada, "C-H bonded diamond MOS Field Effect Transistor for High Temperature and High Power Application" (invited)

The 6th International Conference on Sensors (AsiaSense 2013), Melaka, Malaysia, 27-29 Aug 2013 ... [1件]

5) H. Kawarada, "Diamond Solution-Gate Transistors for Protein Detection by DNA/RNA Aptamers" (invited)

International Conference on Diamond and Carbon Materials, Riva del Garda, Italy, 2-5 Sep. 2013 ... [4件]

6) M. Inaba, M. Shibuya, Y. Masuda, A. Hiraiwa, M. Kusunoki, H.Kawarada,"Evaluation of CNT forest/metal contact resistivity for LSI metallization application"

7) H.Tsuboi, T.Naruo, A.Daicho, T.Saito, A.Hiraiwa, H.Kawarada, "400°C operation of hydrogen-terminated diamond MOSFETs with high temperature ALD Al2O3 for gate insulator and passivation"

8) A. Hiraiwa, W. Ono, T. Hakamata, Y. Yokoyama, D. Utsunomiya, and H. Kawarada, "Surface conduction after molecular beam epitaxy of AlN on a 2x1 4clean (111) diamond surface"

9) A. Hiraiwa, T. Saito, A. Daicho, H. Tsuboi, T. Naruo, Y. Nozaki, and H. Kawarada, "High-voltage environment-resistive gate insulator for diamond field-effect transistors with two-dimensional hole gas"

2013 JSAP(Japan Society of Applied Physics )-MRS(Materials Research Society) Joint Symposia, Kyoto, Japan, 16-20 Sep. 2013 ... [7件]

10) T. Hakamata, Y. Yokoyama, W. Ono, D. Utsunomiya, A. Hiraiwa and H. Kawarada, "AlN heteroepitaxial growth on diamond (111) 2x1 reconstructed surface by molecular beam epitaxy (MBE)"

11) W. Ono, Y. Yokoyama, R. Kanomota, D. Utsunomiya, T. Hakamata, A. Hiraiwa and H. Kawarada, "Electric Property of Al-first AlN/Diamond Interface Formed by Molecular Beam Epitaxy"

12) M. Myodo, K. Oohara, M. Inaba, M. Kobayashi, Y. Shintani, A. Hiraiwa, H. Kawarada, "Electrolyte solutiongate FET by mm-long carbon nanotube forest sheet"

13) T. Saito, A. Daicho, A. Hiraiwa, and H. Kawarada, "High-electrical-insulation ALD-Al2O3 for creation and passivation of two-dimensional hole gas on H-terminated diamond surface"

14) M. Koga, T. Kageura, Khairul. Ishak, Y. Shintani, M . Hasegawa, A.Hiraiwa, H. Kawarada, “Fabrication of P-type transparent conducting films using by heavily boron-doped nano crystalline diamond”

15) M. Shibuya, M. Inaba, K. Suzuki, Y. Masuda, A. Hiraiwa, M. Kusunoki, H. Kawarada, "Evaluation of conduction at CNT/SiC interface of vertically aligned and high density CNT on SiC"

16) Hiroshi Kawarada, "H-terminated Diamond Field Effect Transistors Operated at 400C"  (invited)

ECS 224th meeting, San Francisco, Oct. 2013 ... [1件]

17) Y. Shintani, M. Myodo, M. Kobayashi, S. Ibori, H. Kawarada "The I-V characteristics of a termination-controlled polycrystalline diamond field effect transistor pH sensor for using at harsh environment"

2013 MRS(Materials Research Society) Fall Meeting & Exhibit, Boston, MA, USA, 1-6 Dec. 2013 ... [9件]

18) C.Y. Lee, M. Inaba, K Suzuki, M. Shibuya, A. Hiraiwa, M. Kusunoki, H. Kawarada, "Lateral Conductivity of CNT Film on High Resistance Sic Substrate"

19) H.Tsuboi, T.Naruo, A.Daicho, T.Saito, D.Xu, T.Yamada, K.Kuruma, A.Hiraiwa, H.Kawarada,"400°C operation and high breakdown characteristic of hydrogen-terminated diamond MOSFETs with Al2O3 passivation"

20) M. Shibuya, M. Inaba, K. Suzuki, Y. Masuda, A. Hiraiwa, M. Kusunoki, H. Kawarada, "The dependence of contact resistivity at CNT/SiC interface on SiC doping concentration: CNT new application for SiC power devices"

21) W. Ono, Y. Yokoyama, D. Utsunomiya, T. Hakamata, A. Hiraiwa, H.Kawarada,"Anomalous Electric Conduction at Al-first AlN/Diamond Interface Formed by Molecular Beam Epitaxy"

22) M. Myodo, M. Inaba, M. Kobayashi, Y. Shintani, A. Hiraiwa, H. Kawarada, "Electrolyte surrounding-gate FET by mm-long and dense carbon nanotube forest sheet"

23) M. Koga, T. Kageura, K. Ishak, Y. Shintani, M. Hasegawa, A. Hiraiwa and H. Kawarada, “The optimization of P-type transparent electrode using boron-doped nanodiamond”

24) T. Saito*, K. Kuruma, A. Daicho, A. Hiraiwa, and H. Kawarada,"Revolutionarily enhanced dielectric strength of an ALD-Al2O3 film annealed at a high temperature"

25) T. Hakamata, W. Ono, Y. Yokoyama, D. Utsunomiya, Y. Seshimo, A. Hiraiwa, H. Kawarada、"AlN Heteroepitaxial Growth on Diamond (111) 2x1 Reconstructed Surface by Molecular Beam Epitaxy (MBE)"

26) T. Hakamata, Y. Seshimo, W. Ono, Y. Yokoyama, D. Utsunomiya, A. Hiraiwa, H. Kawarada, "Thermal Stability of Diamond Surface C-H Bonds"

 

国内学会

第44回フラーレン・ナノチューブ・グラフェン総合シンポジウム, 東京大学, 2013年3月11日-13日 ... [1件]

1) 渋谷 恵,稲葉 優文, 大原 一慶, 落合 拓海, 増田 佳穂,平岩 篤,楠 美智子, 川原田 洋,"Evaluation of the contact resistivity at CNT/SiC interface using conductive AFM",

春季第60回応用物理学会, 神奈川工科大学, 2013年3月27日-30日 ... [7件]

2) 坪井 秀俊, 成尾 智也, 大長 央, 斉藤 達也, 平岩 篤, 川原田 洋,"Al2O3パッシベーションを施した水素終端ダイヤモンドMOSFETの400℃動作特性",

3) 齊藤 達也, 大長 央, 平岩 篤, 川原田 洋, "絶縁性と耐環境性に優れた原子層堆積(ALD)Al2O3膜による水素終端ダイヤモンド表面の保護"

4) 渋谷 恵,稲葉 優文, 大原 一慶, 落合 拓海, 増田 佳穂,平岩 篤,楠 美智子, 川原田 洋,
"導電性AFMによるCNT/SiC界面の接触抵抗評価"

5) 小野 和子,横山 悠樹,鹿又 龍介,宇都宮 大起,袴田 知宏,平岩 篤,川原田 洋 "MBE法によるダイヤモンド上へのAlスタートAlN成長"

6) 明道 三穂, 大原 一慶, 稲葉 優文, 大橋 俊之, 加藤 良吾, 川原田 洋, "長尺高密度カーボンナノチューブ・フォレストをチャネルに用いた大電流制御可能な電解質ゲートFET"

7) 古閑 三靖, 栗原 槙一郎, 鹿又 龍介, 王 宪芬, カイルル・カレ・イスハック, 高野 義彦, 山口 尚秀, 長谷川 雅考, 平岩 篤, 川原田 洋 ,"高濃度ボロンドープナノダイヤモンドを用いたP型透明伝導膜の作製"

8) 袴田 知宏, 横山 悠樹, 小野 和子, 平岩 篤, 川原田 洋 "分子線エピタキシー(MBE)法による部分酸素終端ダイヤモンド表面上へのAlNエピタキシャル成長"

第54回化学センサ研究発表会 電気化学会創立第80周年記念大会, 東北大学, 2013年3月29日-31日 ... [1件]

9) 新谷 幸弘, 明道 三穂, 井堀 翔志, 川原田 洋, "ボロンドープ多結晶ダイヤモンドトランジスタセンサのpH感応特性"

第45回フラーレン・ナノチューブ・グラフェン総合シンポジウム, 大阪大学, 2013年8月5日-7日 ... [1件]

10) 明道 三穂, 稲葉 優文, 小林 幹典, 新谷 幸弘, 平岩 篤, 川原田 洋, "Large current controllable solution-gate FET by carbon nanotube forest"

日本分析化学会第62年会, 近畿大学, 2013年9月10日-12日 ... [1件]

11) 新谷 幸弘, 明道 三穂, 小林 幹典,川原田 洋, "ボロンドープ多結晶ダイヤモンド半導体センサのFET-IV特性とイオン感応特性の評価"

第74回応用物理学会秋季学術講演会, 同志社大学, 2013年9月16日-20日 ... [7件]

12) 蔭浦 泰資 古閑 三靖, カイルル・カレ・イスハック, 新谷幸弘, 長谷川雅考, 平岩篤, 川原田洋,"ボロンドープP型透明導電膜の透過率とシート抵抗の最適化"

13) 許 德琛, 坪井 秀俊, 成尾 智也, 山田 哲也, 大長 央, 斉藤 達也, 車 一宏, 平岩 篤, 川原田 洋, "Al2O3パッシベーションを施した水素終端ダイヤモンドMOSFETの高温動作特性"

14) 車 一宏,齊藤 達也,大長 央, 平岩 篤, 川原田 洋" 高温アニールによる原子層堆積(ALD) Al2O3 膜絶縁耐圧の向上"

15) 小林 幹典, 新谷 幸弘, 明道 三穂, 川原田 洋,"フッ素ガスによるダイヤモンドのフッ素終端化"

16) 鈴木 和真,稲葉 優文,渋谷 恵,明道 三穂,平岩 篤,増田 佳穂,乗松 航,楠 美智子,川原田 洋,"高濃度ドープによるCNT/SiC界面の接触抵抗の低減"

17) 瀬下 裕志, 袴田 知宏, 小野 和子, 横山 悠樹, 宇都宮 大起, 平岩 篤, 川原田 洋,"ダイヤモンド表面 C-H 結合の高温熱安定性"

18) 山田 哲也, 成尾 智也, 坪井 秀俊, 許 德琛, 大長 央, 斎藤 達也,車 一宏, 平岩 篤, 川原田 洋,"Al2O3ゲート絶縁膜を利用した水素終端ダイヤモンドMOSFETの耐電圧特性"

第27回ダイヤモンドシンポジウム, 日本工業大学, 2013年11月20日‐22日 ... [7件]

19) 蔭浦泰資,古閑三靖,カイルル カレ イスハック,新谷幸弘,長谷川雅考,平岩 篤,川原田 洋,
"P型透明電極の透過率の向上"

20) 許 德琛, 坪井 秀俊, 成尾 智也, 山田 哲也, 大長 央, 斉藤 達也, 車 一宏, 平岩 篤, 川原田 洋, "ALD-Al2O3による水素終端ダイヤモンドMOSFETの高温動作特性"

21) 車 一宏,齊藤 達也,大長 央,平岩 篤,川原田 洋," 高温アニールによる原子層堆積(ALD)Al2O3 膜絶縁耐圧の向上"

22) 小林 幹典,新谷 幸弘,明道 三穂,川原田 洋,"フッ化炭素堆積層を制御したフッ素終端化ダイヤモンドの特性解析"

23) 鈴木 和真,稲葉 優文,渋谷 恵,明道 三穂,平岩 篤,増田 佳穂,乗松 航,楠 美智子,
川原田 洋,"高濃度窒素ドープによるCNT/SiC界面の接触抵抗及びSchottky障壁高さの評価"

24) 瀬下裕志,袴田知宏,小野和子,横山悠樹,宇都宮大起,平岩 篤,川原田 洋 "ダイヤモンド表面C-H結合の高熱安定性評価"

25) 山田 哲也, 成尾 智也, 坪井 秀俊, 許 德琛, 大長 央, 斎藤 達也, 車 一宏,平岩 篤, 川原田 洋,"ゲート絶縁膜にAl2O3を用いた 水素終端ダイヤモンドMOSFETの耐電圧特性"